Senin, 18 April 2011

Seven Segment (7-Segment)

Seven Segmen (7-Segmen)

Download artikel ini dalam Bahasa Indonesia : Klik Disini
Download the article in english : Click Here

Seven Segment adalah suatu segmen-segmen yang digunakan menampilkan angka. Seven segment merupakan display visual yang umum digunakan dalam dunia digital. Seven segment sering dijumpai pada jam digital, penujuk antrian, diplay angka digital dan termometer digital. Penggunaan secara umum adalah untuk menampilkan informasi secara visual mengenai data-data yang sedang diolah oleh suatu rangkaian digital. 
Seven segmen ini tersusun atas 7 batang LED yang disusun membentuk angka 8 yang penyusunnya menggunakan diberikan lebel dari ‘a’ sampai ‘g’ dan satu lagi untuk dot point (DP). Setiap segmen ini terdiri dari 1 atau 2 Light Emitting Diode ( LED ). salah satu terminal LED dihubungkan menjadi satu sebagai kaki common.
7segmen konfigurasi
Jenis-jenis Seven Segment :
1. Common Anoda
Semua anoda dari LED dalam seven segmen disatukan secara parallel dan semua itu dihubungkan ke VCC, dan kemudian LED dihubungkan melalui tahanan pembatas arus keluar dari penggerak LED. Karena dihubungkan ke VCC, maka COMMON ANODA ini berada pada kondisi AKTIF LOW (led akan menyala/aktif bila diberi logika 0).
2. Common Katoda
Merupakan kebalikan dari Common Anoda. Disini semua katoda disatukan secara parallel dan dihubungkan ke GROUND. Karena seluruh katoda dihubungkan ke GROUND, maka COMMON KATODA ini berada pada kondisi AKTIF HIGH (led akan menyala/aktif bila diberi logika 1). 

7Segmen Konfigurasi CA-CC
Prinsip Kerja :
Prinsip kerja seven segmen ialah input biner pada switch dikonversikan masuk ke dalam decoder, baru kemudian decoder mengkonversi bilangan biner tersebut menjadi decimal, yang nantinya akan ditampilkan pada seven segment. 
Seven segment dapat menampilkan angka-angka desimal dan beberapa karakter tertentu melalui kombinasi aktif atau tidaknya LED penyusunan dalam seven segment. Untuk memudahkan penggunaan seven segment, umumnya digunakan sebuah decoder( mengubah/ mengkoversi input bilangan biner menjadi decimal) atau seven segment driver yang akan mengatur aktif tidaknya led-led dalam seven segment sesuai dengan nilai biner yang diberikan.
Dekoder BCD ke seven segment digunakan untuk menerima masukan BCD 4-bit dan memberikan keluaran yang melewatkan arus melalui segmen untuk menampilkan angka desimal. Jenis dekoder BCD ke seven segment ada dua macam yaitu dekoder yang berfungsi untuk menyalakan seven segment mode common anoda dan dekoder yang berfungsi untuk menyalakan seven segment mode common katoda. Contoh IC converter BCD to Seven Segment untuk 7-segment Common Anoda pake decoder IC TTL 7447 untuk Common Katoda pake IC TTL 7448.

Salah satu contoh saja, IC 74LS47 merupakan dekoder BCD ke seven segment yang berfungsi untuk menyalakan seven segmen mode common anode. Gambar dan konfigurasi pin IC 74LS47 ditunjukkan pada gambar berikut :

74LS47 konfigurasi

Dekoder BCD ke seven segment mempunyai masukan berupa bilangan BCD 4-bit (masukan A, B, C dan D). Bilangan BCD ini dikodekan sehingga membentuk kode tujuh segmen yang akan menyalakan ruas-ruas yang sesuai pada seven segment. Masukan BCD diaktifkan oleh logika ‘1’, dan keluaran dari dekoder 7447 adalah aktif low. Tiga masukan ekstra juga ditunjukkan pada konfigurasi pin IC 7447 yaitu masukan (lamp test), masukan (blanking input/ripple blanking output), dan (ripple blanking input).
Berikut adalah Tabel kebenaran dari IC 74LS47 :


Tabel kebenaran IC 7447


Pada konfigurasi pin IC 7447 yaitu masukan (lamp test), masukan (blanking input/ripple blanking output), dan (ripple blanking input).
LT' , Lamp Test, berfungsi untuk mengeset display, bila diberi logika ‘0’ maka semua keluaran dari IC ini akan berlogika 0. Sehingga seven segment akan menunjukkan angka delapan (8). BI'/RBO' , Blanking Input/Row Blanking Output, berfungsi untuk mematikan keluaran dari IC. Bila diberi logika “0” maka semua keluaran IC akan berlogika “1” dan seven segment akan mati.
RBI' , Row Blanking Input, berfungsi untuk mematikan keluaran dari IC jika semua input berlogika “0”. Bila diberi logika “0”, diberi logika “1” dan diberi logika “0” maka semua keluaran IC akan berlogika “1” dan seven segment akan mati.



 ===================================================================

Articles in english:
Seven Segment is a segment that is used displaying numbers. Seven segment is a visual display commonly used in the digital world. Seven segment often found in digital watches, digital numbers diplay, and digital thermometer. General use is to visually display information about the data that is being processed by a digital circuit.
 

Types of Seven Segment:
1. Common Anode
All the anode of the LED in seven segments together in parallel and all were connected to VCC, and then the LED is connected through current-limiting prisoners out of the drive LEDs. Due to be connected to VCC, then the seven segment COMMON ANODE condition is in the ACTIVE LOW (LED will turn on / active when given a logic 0).
2. Common Cathode
It is the opposite of the seven segment Common Anode. Here, all the cathodes together in parallel and connected to GROUND. Because the entire cathode is connected to GROUND, then COMMON CATHODE it is in the condition ACTIVE HIGH (LED will turn on / active when given a logic 1).
Seven segment can display decimal numbers and some specific character through a combination of active or absence of LED preparation in a seven segment. To facilitate the use of seven segment, generally used a decoder (change / mengkoversi input binary number into decimal) or a seven segment driver that will govern whether or not active-led seven segment led in accordance with a given binary value.
BCD to seven segment decoder used to receive the 4-bit BCD inputs and provide outputs that pass the current through the segments to display a decimal number. Types of BCD to seven segment decoder there are two kinds of decoder which serves to ignite the common anode seven segment mode and the decoder which functions to turn seven segment common cathode mode. Examples converter IC BCD to Seven Segment for Common Anode 7-segment decoder use TTL IC 7447 for the Common Cathode use TTL IC 7448.

 => Download full article in english : Click Here
Artikel Terkait Lainnya :


3 comments:

mas bisa tolong jelasin tentang common anoda dan katoda,trus yang di maksud AKTIF LOW DAN HIGH makasih sebelumnya juga.

ini mas joe yg bertanya melalui facebook yaa??

Posting Komentar

Share

Twitter Delicious Facebook Digg Stumbleupon Favorites